1types_util input.vhd /^package types_util is$/;" package end:30 2strlen input.vhd /^function strlen(s: in string) return integer;$/;" prototype package:types_util 3StringToUVector input.vhd /^function StringToUVector(inStr: string) return std_ulogic_vector;$/;" prototype package:types_util 4StringToSVector input.vhd /^function StringToSVector(inStr: string) return std_logic_vector;$/;" prototype package:types_util 5UnsignedToSigned input.vhd /^function UnsignedToSigned(inUnsigned: std_ulogic_vector) return std_logic_vector;$/;" prototype package:types_util 6SignalFromString input.vhd /^function SignalFromString(inStr: string; ind : integer ) return std_logic;$/;" prototype package:types_util 7SymbolToSVector input.vhd /^function SymbolToSVector(inStr: string; idx: integer) return std_logic_vector;$/;" prototype package:types_util 8tost input.vhd /^function tost(v:std_logic_vector) return string;$/;" prototype package:types_util 9tost input.vhd /^function tost(v:std_logic) return string;$/;" prototype package:types_util 10tost input.vhd /^function tost(i : integer) return string;$/;" prototype package:types_util 11print input.vhd /^procedure print(s : string);$/;" prototype package:types_util 12types_util input.vhd /^package body types_util is$/;" package end:203 13strlen input.vhd /^ function strlen(s: in string) return integer is$/;" function package:types_util end:44 14n input.vhd /^ variable n: integer:=0; variable sj: integer:=s'left;$/;" variable function:types_util.strlen 15sj input.vhd /^ variable n: integer:=0; variable sj: integer:=s'left;$/;" variable function:types_util.strlen 16SignalFromString input.vhd /^ function SignalFromString(inStr: string; ind : integer ) return std_logic is$/;" function package:types_util end:53 17temp input.vhd /^ variable temp: std_logic := 'X';$/;" variable function:types_util.SignalFromString 18StringToUVector input.vhd /^ function StringToUVector(inStr: string) return std_ulogic_vector is$/;" function package:types_util end:65 19temp input.vhd /^ variable temp: std_ulogic_vector(inStr'range) := (others => 'X');$/;" variable function:types_util.StringToUVector 20StringToSVector input.vhd /^ function StringToSVector(inStr: string) return std_logic_vector is$/;" function package:types_util end:77 21temp input.vhd /^ variable temp: std_logic_vector(inStr'range) := (others => 'X');$/;" variable function:types_util.StringToSVector 22SymbolToSVector input.vhd /^ function SymbolToSVector(inStr: string; idx: integer) return std_logic_vector is$/;" function package:types_util end:89 23ss input.vhd /^ constant ss: string(1 to inStr'length) := inStr;$/;" local function:types_util.SymbolToSVector 24c input.vhd /^ variable c : integer;$/;" variable function:types_util.SymbolToSVector 25temp input.vhd /^ variable temp: std_logic_vector(7 downto 0) := (others => 'X');$/;" variable function:types_util.SymbolToSVector 26UnsignedToSigned input.vhd /^ function UnsignedToSigned(inUnsigned: std_ulogic_vector) $/;" function package:types_util end:104 27temp input.vhd /^ variable temp: std_logic_vector(inUnsigned'length-1 downto 0) := (others => 'X');$/;" variable function:types_util.UnsignedToSigned 28i input.vhd /^ variable i: integer:=0;$/;" variable function:types_util.UnsignedToSigned 29nibble input.vhd /^ subtype nibble is std_logic_vector(3 downto 0);$/;" subtype package:types_util 30todec input.vhd /^ function todec(i:integer) return character is$/;" function package:types_util end:124 31tohex input.vhd /^ function tohex(n:nibble) return character is$/;" function package:types_util end:148 32tost input.vhd /^ function tost(v:std_logic_vector) return string is$/;" function package:types_util end:170 33vlen input.vhd /^ constant vlen : natural := v'length; --'$/;" local function:types_util.tost 34slen input.vhd /^ constant slen : natural := (vlen+3)\/4;$/;" local function:types_util.tost 35vv input.vhd /^ variable vv : std_logic_vector(0 to slen*4-1) := (others => '0');$/;" variable function:types_util.tost 36s input.vhd /^ variable s : string(1 to slen);$/;" variable function:types_util.tost 37nz input.vhd /^ variable nz : boolean := false;$/;" variable function:types_util.tost 38index input.vhd /^ variable index : integer := -1;$/;" variable function:types_util.tost 39tost input.vhd /^ function tost(v:std_logic) return string is$/;" function package:types_util end:176 40tost input.vhd /^ function tost(i : integer) return string is$/;" function package:types_util end:195 41L input.vhd /^ variable L : line;$/;" variable function:types_util.tost 42s input.vhd /^ variable s, x : string(1 to 128);$/;" variable function:types_util.tost 43x input.vhd /^ variable s, x : string(1 to 128);$/;" variable function:types_util.tost 44n input.vhd /^ variable n, tmp : integer := 0;$/;" variable function:types_util.tost 45tmp input.vhd /^ variable n, tmp : integer := 0;$/;" variable function:types_util.tost 46print input.vhd /^ procedure print(s : string) is$/;" procedure package:types_util end:201 47L input.vhd /^ variable L : line;$/;" variable procedure:types_util.print 48