xref: /Universal-ctags/Units/parser-vhdl.r/vhdl-component.d/input-0.vhd (revision c4ab2ea4ee795edc7f88ec8ac3e2735b5dd85002)
1-- Taken from a comment https://github.com/universal-ctags/ctags/issues/2678
2-- submitted by @pidgeon777
3library ieee;
4use ieee.std_logic_1164.all;
5
6entity ENTITY_1 is
7  generic (
8    GEN : integer := 0
9  );
10  port (
11    INP : in std_logic
12  );
13end entity;
14
15architecture arch of ENTITY_1 is
16
17  signal sig : std_logic := '0';
18
19begin
20end architecture;
21